De toekomst van halfgeleiderchips: is nanoimprintlithografie de volgende grote stap?

4 min
Een close-up van een iriserende siliciumschijf van een microchip in regenboogkleuren.

Ze worden al tientallen jaren steeds kleiner en krachtiger, maar zullen computerchips binnenkort hun limiet bereiken? Of is nanoimprintlithografie het antwoord om hun toekomst te waarborgen?

Onze wereld wordt met de dag computerintensiever. Smartphones, laptops, consumentenelektronica, auto's - het is moeilijk om te bedenken in welk deel van onze dag geen halfgeleiderchips worden gebruikt.

Ze zijn de drijvende kracht achter de meeste aspecten van ons leven en komen cyclisch uit in nog geavanceerdere versies. Maar vanuit productieoogpunt is het niet eenvoudig om de kosten laag te houden, chips kleiner te maken en het vermogen te vergroten.

Op basis van de theorie die hun evolutie volgt en voorspelt - de zogeheten wet van Moore - zouden verbeteringen in snelheid en capaciteit ongeveer om de twee jaar moeten plaatsvinden en zou hun prijs slechts minimaal beïnvloed moeten worden.

Maar hoe kan deze delicate balans de komende jaren worden gegarandeerd naarmate dit moeilijker wordt?

Misschien is nanoimprintlithografie (NIL) de sleutel om dit te bereiken. Dit is de reden.

Wat betekent nanoimprintlithografie precies?

"Nanoimprintlithografie is een zogenaamde 'geavanceerde lithografietechniek'", legt Chris Howells uit, European Operations Director van de divisie Semiconductor Equipment van Canon. "En onze eigen versie is gebaseerd op onze expertise op het gebied van inkjettechnologie."

Canon is al feitelijk al bijna vijftig jaar actief in het ondersteunen van fabrikanten van halfgeleiders over de hele wereld en levert hen geavanceerde apparatuur voor halfgeleiderlithografie. Dit is het proces dat wordt gebruikt voor het printen van de kleine, zeer gedefinieerde patronen die je op computerchips ziet. Het houdt in dat licht of straling wordt toegepast om een patroon over te brengen op een ‘wafer’ (schijf) die is gecoat met een viskeuze vloeistof die fotoresist wordt genoemd.

In deze context lijkt NIL de natuurlijke volgende stap: de decennialange specialistische printkennis van Canon combineren met fotonica (de wetenschap van licht).

Maar wat doet het? En wat is het verschil met andere vormen van lithografie?

De toekomst laten krimpen

Het productieproces van nanoimprintlithografie is heel anders dan traditionele halfgeleiderlithografie, deels door het complexe ontwerp.

Hoe geavanceerder de lithografieapparatuur is in het printen van kleinere elementen op de chips, hoe beter de prestaties van die chip zullen zijn.”

In plaats van een patroon te printen op een wafer die volledig is bedekt met de fotolak, geeft NIL ten eerste alleen druppels vloeistof af waar dit nodig is. Met dezelfde technologie als die van Canon-inkjetprinters kan elke druppel nauwkeurig worden gemeten, gecontroleerd en afgegeven.

Vervolgens drukt een speciaal vervaardigde stempel, een 'masker' genaamd, het gewenste patroon in de vloeistof. Dit klinkt misschien heel eenvoudig, maar vergeet niet dat we het hebben over een minuscule schaal die absolute precisie vereist. Iets eenvoudigs als lucht die tussen het masker en de siliconewafer wordt ingesloten, zou het proces volledig ontregelen. De ontwikkelaars en ontwerpers van de machines hadden dus een uitzonderlijke uitdaging om externe elementen te vermijden.

Onvermijdelijk is er meer dan één masker nodig gedurende de levensduur van een NIL-systeem. Ook deze worden gemaakt met een machine die ook door Canon wordt geproduceerd. "In wezen creëren de twee machines samen een intern bronproces voor nanoimprinttechnologie", legt Chris uit.

Het laatste deel van het proces is wanneer het masker wordt verwijderd, waardoor kleine structuren achterblijven die vervolgens worden uitgehard met UV-licht. Deze complexe en tamelijk mooie geometrische patronen zijn onzichtbaar voor het blote oog. Ze zijn slechts een paar 'nanometer’ groot, vandaar de naam.

Om een beeld te schetsen: een nanometer is één miljardste meter en een menselijke haar meet een diameter van ongeveer 100.000 nanometer. "Hoe kleiner het element [de minuscule fysieke structuur] op de siliconechip, hoe sneller het apparaat waarin het wordt gebruikt, zal werken", legt Chris uit.

"Zo worden telefoons sneller en is ook je pc sneller. Hoe geavanceerder de lithografieapparatuur is in het printen van kleinere elementen op de chips, hoe beter de prestaties van die chip zullen zijn.”

Een persoon in een cleanroom-pak met handschoenen aan houdt een siliciumschijf met twee handen vast.

Nauwkeuriger, kosteneffectiever en beter voor het milieu

Er bestaat geen twijfel over dat een proces dat zo groot en complex is, aanzienlijke investeringen vereist van chipfabrikanten, maar wij denken dat dit op de lange termijn een verstandige stap is. Als bewijs van onze toewijding zijn we momenteel van plan om een nieuwe fabriek voor halfgeleiderapparatuur te bouwen in Japan. Dit verdubbelt onze huidige capaciteit en stelt ons in staat om nog meer lithografieapparatuur te produceren dan ooit tevoren.

"De totale eigendomskosten laten zien dat dit een technologie is die de moeite waard is om in te investeren," legt Chris uit. "Met name wat betreft bedrijfskosten, verwerkingssnelheid en levensduur."

Kosten zijn er natuurlijk in vele vormen en maten. Vanuit machineperspectief is het dus duidelijk dat NIL als proces fabrikanten een uitstekende prijs-kwaliteitverhouding biedt. Niet alleen voor wat betreft de initiële investering, maar ook door de manier waarop de technologie werkt.

De totale eigendomskosten laten zien dat dit een technologie is die de moeite waard is om in te investeren. Met name wat betreft bedrijfskosten, verwerkingssnelheid en levensduur.”

Als je het bijvoorbeeld vergelijkt met het dichtstbijzijnde alternatief ('Extreme Ultraviolet Lithography' of EUV) of zelfs met traditionele halfgeleiderlithografie, zijn zowel het energieverbruik als de hoeveelheid afval aanzienlijk lager. De nauwkeurigheid van het proces betekent dat er weinig overtollig materiaal hoeft te worden afgevoerd en dat het gebruik van chemicaliën aanzienlijk wordt verminderd. Afgezien van de kosten, kunnen beide factoren een enorme impact hebben op het milieu.

Al met al is dit het soort vooruitgang dat niet alleen de nalatenschap van de wet van Moore zal veiligstellen - wat betreft processorsnelheid en -vermogen - maar ook een nieuw, cruciaal duurzaam aspect toevoegt aan de productie van halfgeleiderchips.

Maak kennis met het team achter de ontwikkeling van het Naonimprint Lithography System van Canon.

Verwant

  • Een Canon EOS C70 zonder bevestigd objectief, zodat door de objectiefvatting heen de DGO-sensor te zien is.

    Uitleg over beeldsensoren

    Wat is het verschil tussen CCD-, CMOS-, DGO- en SPAD-sensoren? Ontdek hoe verschillende typen camerasensoren werken, de unieke functies van elke sensor en hun toepassingen in het assortiment van Canon-camera's.

  • Seeing is believing with the EOS R System

    Zien is geloven met het EOS R System

    Ontdek hoe Canon-engineers met meer dan dertig jaar EOS-innovatie op zak nieuwe niveaus van optische excellentie hebben bereikt met het EOS R System.

  • Een man vervangt met één hand een van de 12 inkttanks aan de voorkant van een Canon imagePROGRAF PRO-1000-printer.

    De techniek in Canon's pro-fotoprinters

    Ontdek de geavanceerde technologieën van Canon's professionele fotoprinters voor hoogwaardige A3+ prints.